ディジタル回路の基礎

◆実験内容:
 IT技術が進歩した現代においては、家電やコンピュータ、スマートフォンなどさまざまなディジタル回路が身の回りで利用されている。特に、近年のプロセッサは数百億のトランジスタで構成されており、それらが数センチ角のチップ中に高密度に集積される。このように、システムの規模が大きくなるにつれて、回路設計も複雑になる。本実験では、ディジタル回路設計において用いられるハードウェア記述言語を習得し、ディジタル回路設計のフローを学ぶ。また、実験ではFPGA(Field Programmable Gate Array)と呼ばれる任意の論理回路を構成できる特殊なデバイスを利用し、設計したディジタル回路設計を実際に動作させ、動作検証の方法を理解する。
◆担当教員から学生へのアドバイス:
 本実験ではハードウェア記述言語のVerilog HDLを扱う。Verilog HDLで記述されたコードはソフトウェアのように上から順に逐次的に実行されるのではなく、並列に動作する回路になることを意識するのが重要である。

Posted in ex

コメントを残す

メールアドレスが公開されることはありません。 が付いている欄は必須項目です